TY - JOUR AU - Adkhan Sholeh PY - 2020/05/23 Y2 - 2024/03/29 TI - IMPLEMENTASI COMPARATOR 8-BIT MENGGUNAKAN VHDL PADA PERANGKAT LUNAK XILINK ISE JF - Teknomatika: Jurnal Informatika dan Komputer JA - teknomatika VL - 5 IS - 1 SE - DO - UR - https://ejournal.unjaya.ac.id/index.php/teknomatika/article/view/394 AB - Desain rangkaian elektronika dengan memanfaatkan perangkat lunak telah mengalami kemajuan yang pesat. VHDL, yang pada awalnya digunakan untuk mendeskripsikan karakteristik dan perilaku komponen elektronika (khususnya IC atau integrated circuit) berkembang menjadi alat untuk melakukan simulasi dan sintesa gerbang-gerbang logika dan komponen elektronik lainnya. Menggunakan VHDL, perancangan rangkaian elektronika dilakukan dengan mendeskripsikan entitas rangkaian dan arsitektur rangkaian.Dalam berbagai bidang pengolahan data/sinyal, hampir bisa dipastikan adanya penggunaan comparator untuk membandingkan 2 (dua) atau lebih sinyal/data. Pada makalah ini disajikan bagaimana sebuah comparator 8 bit dirancang menggunakan VHDL dan simulasi hasil implementasinya pada platform FPGA (field programmable gate array). ER -